Anglo-Gulf Trade Bank

Anglo-Gulf Trade Bank

Anglo-Gulf Trade Bank – Rethinking Trade Finance. Learn more

Launch date
Employees
Abu Dhabi Abu Dhabi Emirate (HQ)

  • Edit
DateInvestorsAmountRound
*

N/A

Buyout
Total Funding-

Recent News about Anglo-Gulf Trade Bank

Edit
More about Anglo-Gulf Trade Bank
Edit

Anglo-Gulf Trade Bank (AGTB) Limited is a fully digital corporate bank licensed and regulated by the Financial Services Regulatory Authority of Abu Dhabi Global Market (ADGM). Launched in 2019, AGTB is also the world’s first digital trade bank, a focus which presents the greatest opportunity for disruption within corporate banking.

Our purpose is to make business easier by fundamentally rethinking the approach to banking, free from legacy constraints. AGTB aims to deliver a simplified, client centric proposition through intelligent use of data and technology. We operate within a collaborative and intuitive ecosystem that continuously evolves to meet our clients’ needs.

AGTB is a joint venture between AGTB Holdings Limited and Mubadala Investment Company.